Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
 

 
Software Version and Target Device
Product Version: ISE:14.2 (ISE) - P.28xd Target Family: Kintex7
OS Platform: NT Target Device: xc7k325t
Project ID (random number) ab95de3f98204105a17ce929b33975d3.51C8808720364A03A2E27EC80424981A.6 Target Package: ffg900
Registration ID __123456789_123456_123456789 Target Speed: -2
Date Generated 2013-07-19T19:19:06 Tool Flow ISE
 
User Environment
OS Name Microsoft Windows XP Professional OS Release Service Pack 3 (build 2600)
CPU Name Intel(R) Core(TM)2 Quad CPU Q9400 @ 2.66GHz CPU Speed 2660 MHz
OS Name Microsoft Windows XP Professional OS Release Service Pack 3 (build 2600)
CPU Name Intel(R) Core(TM)2 Quad CPU Q9400 @ 2.66GHz CPU Speed 2660 MHz
 
Device Usage Statistics
Macro StatisticsMiscellaneous StatisticsNet StatisticsSite Usage
Adder Trees=2
  • 8-bit / 4-inputs adder tree=2
Adders/Subtractors=54
  • 1-bit subtractor=1
  • 14-bit subtractor=2
  • 16-bit adder=1
  • 17-bit adder=1
  • 2-bit adder=2
  • 28-bit adder=1
  • 29-bit adder=2
  • 3-bit subtractor=4
  • 32-bit adder=16
  • 32-bit adder carry in=2
  • 32-bit subtractor=5
  • 32-bit subtractor borrow in=2
  • 33-bit adder carry in=1
  • 33-bit subtractor borrow in=1
  • 4-bit adder=1
  • 7-bit subtractor=2
  • 8-bit adder=1
  • 8-bit subtractor=1
  • 9-bit adder=6
  • 9-bit adder carry in=2
Comparators=22
  • 1-bit comparator equal=1
  • 20-bit comparator equal=1
  • 28-bit comparator greater=1
  • 32-bit comparator equal=5
  • 32-bit comparator greater=6
  • 5-bit comparator equal=8
Counters=15
  • 16-bit down counter=3
  • 2-bit updown counter=1
  • 24-bit up counter=1
  • 3-bit up counter=1
  • 32-bit up counter=4
  • 8-bit down counter=1
  • 8-bit up counter=2
  • 9-bit up counter=2
FSMs=16 Logic shifters=1
  • 64-bit shifter logical right=1
MACs=2
  • 16x16-to-16-bit MAC=2
Multiplexers=1130
  • 1-bit 128-to-1 multiplexer=2
  • 1-bit 2-to-1 multiplexer=774
  • 1-bit 3-to-1 multiplexer=4
  • 1-bit 4-to-1 multiplexer=34
  • 1-bit 5-to-1 multiplexer=32
  • 1-bit 7-to-1 multiplexer=32
  • 1-bit 8-to-1 multiplexer=18
  • 11-bit 2-to-1 multiplexer=1
  • 14-bit 2-to-1 multiplexer=4
  • 16-bit 2-to-1 multiplexer=38
  • 2-bit 2-to-1 multiplexer=12
  • 23-bit 2-to-1 multiplexer=2
  • 28-bit 2-to-1 multiplexer=3
  • 29-bit 2-to-1 multiplexer=7
  • 3-bit 2-to-1 multiplexer=15
  • 32-bit 2-to-1 multiplexer=79
  • 32-bit 3-to-1 multiplexer=3
  • 4-bit 2-to-1 multiplexer=25
  • 4-bit 6-to-1 multiplexer=2
  • 40-bit 2-to-1 multiplexer=4
  • 48-bit 2-to-1 multiplexer=1
  • 5-bit 2-to-1 multiplexer=4
  • 8-bit 2-to-1 multiplexer=25
  • 8-bit 4-to-1 multiplexer=1
  • 9-bit 2-to-1 multiplexer=8
Multipliers=1
  • 16x16-bit registered multiplier=1
RAMs=8
  • 1024x32-bit dual-port block RAM=1
  • 20480x32-bit dual-port block RAM=1
  • 256x20-bit dual-port block RAM=1
  • 32x32-bit dual-port block RAM=2
  • 512x32-bit single-port block Read Only RAM=1
  • 64x32-bit single-port distributed Read Only RAM=1
  • 8x1-bit single-port distributed Read Only RAM=1
Registers=2902
  • Flip-Flops=2902
Xors=93
  • 1-bit xor2=67
  • 32-bit xor2=26
MiscellaneousStatistics
  • AGG_BONDED_IO=28
  • AGG_IO=28
  • AGG_LOCED_IO=28
  • AGG_SLICE=1794
  • NUM_BONDED_IOB=7
  • NUM_BONDED_IOB33=21
  • NUM_BONDED_IPAD=2
  • NUM_BSCAN=1
  • NUM_BSFULL=2194
  • NUM_BSLUTONLY=2306
  • NUM_BSREGONLY=577
  • NUM_BSUSED=5077
  • NUM_BUFG=7
  • NUM_DSP48E1=3
  • NUM_IBUFDS_GTE2=1
  • NUM_ISERDESE2=2
  • NUM_LOCED_IOB=7
  • NUM_LOCED_IOB33=21
  • NUM_LOCED_IPAD=2
  • NUM_LOGIC_O5ANDO6=874
  • NUM_LOGIC_O5ONLY=357
  • NUM_LOGIC_O6ONLY=3213
  • NUM_LUT_RT_DRIVES_CARRY4=16
  • NUM_LUT_RT_DRIVES_FLOP=38
  • NUM_LUT_RT_EXO5=38
  • NUM_LUT_RT_EXO6=16
  • NUM_LUT_RT_O5=29
  • NUM_LUT_RT_O6=357
  • NUM_MMCME2_ADV=3
  • NUM_RAMB18E1=5
  • NUM_RAMB36E1=24
  • NUM_SLICEL=1792
  • NUM_SLICEM=2
  • NUM_SLICE_CARRY4=257
  • NUM_SLICE_CONTROLSET=113
  • NUM_SLICE_CYINIT=5815
  • NUM_SLICE_F7MUX=57
  • NUM_SLICE_FF=3011
  • NUM_SLICE_LATCHLOGIC=1
  • NUM_SLICE_UNUSEDCTRL=731
  • NUM_SRL_O6ONLY=2
  • NUM_UNUSABLE_FF_BELS=275
  • Xilinx Core fifo_generator_v9_2, Xilinx CORE Generator 14.2=2
NetStatistics
  • NumNets_Active=7247
  • NumNets_Gnd=1
  • NumNets_Vcc=1
  • NumNodesOfType_Active_BENTQUAD=4379
  • NumNodesOfType_Active_BOUNCEACROSS=886
  • NumNodesOfType_Active_BOUNCEIN=1130
  • NumNodesOfType_Active_BUFGROUT=7
  • NumNodesOfType_Active_BUFINP2OUT=15
  • NumNodesOfType_Active_CLKPIN=1190
  • NumNodesOfType_Active_DOUBLE=12920
  • NumNodesOfType_Active_GENERIC=19
  • NumNodesOfType_Active_GLOBAL=1106
  • NumNodesOfType_Active_HLONG=130
  • NumNodesOfType_Active_HQUAD=1902
  • NumNodesOfType_Active_INPUT=4600
  • NumNodesOfType_Active_IOBIN2OUT=35
  • NumNodesOfType_Active_IOBOUTPUT=41
  • NumNodesOfType_Active_LUTINPUT=20625
  • NumNodesOfType_Active_OUTBOUND=7964
  • NumNodesOfType_Active_OUTPUT=7385
  • NumNodesOfType_Active_PADINPUT=20
  • NumNodesOfType_Active_PADOUTPUT=13
  • NumNodesOfType_Active_PINBOUNCE=3537
  • NumNodesOfType_Active_PINFEED=26890
  • NumNodesOfType_Active_SINGLE=14580
  • NumNodesOfType_Active_VLONG=340
  • NumNodesOfType_Active_VLONG12=461
  • NumNodesOfType_Active_VQUAD=5037
  • NumNodesOfType_Vcc_BOUNCEIN=5
  • NumNodesOfType_Vcc_CLKPIN=9
  • NumNodesOfType_Vcc_HVCCGNDOUT=565
  • NumNodesOfType_Vcc_INPUT=677
  • NumNodesOfType_Vcc_LUTINPUT=1264
  • NumNodesOfType_Vcc_OUTPUT=2
  • NumNodesOfType_Vcc_PINBOUNCE=9
  • NumNodesOfType_Vcc_PINFEED=2997
SiteStatistics
  • BUFG-BUFGCTRL=7
  • IOB-IOB18=1
  • IOB-IOB18M=2
  • IOB-IOB18S=4
  • IOB33-IOB33M=8
  • IOB33-IOB33S=7
  • ISERDESE2-ILOGICE3=2
  • RAMB18E1-FIFO18E1=3
  • RAMB36E1-RAMBFIFO36E1=24
  • SLICEL-SLICEM=644
SiteSummary
  • BSCAN=1
  • BSCAN_BSCAN=1
  • BUFFER=2
  • BUFG=7
  • BUFG_BUFG=7
  • CARRY4=257
  • DSP48E1=3
  • DSP48E1_DSP48E1=3
  • FF_INIT=307
  • HARD0=25
  • HARD1=33
  • IBUFDS_GTE2=1
  • IBUFDS_GTE2_IBUFDS_GTE2=1
  • IOB=7
  • IOB33=21
  • IOB33_INBUF_EN=9
  • IOB33_OUTBUF=15
  • IOB_INBUF_EN=3
  • IOB_OUTBUF=4
  • IPAD=2
  • ISERDESE2=2
  • ISERDESE2_ISERDESE2=2
  • LUT5=1298
  • LUT6=4460
  • LUT_OR_MEM6=2
  • MMCME2_ADV=3
  • MMCME2_ADV_MMCME2_ADV=3
  • NULLMUX=1
  • PAD=30
  • RAMB18E1=5
  • RAMB18E1_RAMB18E1=5
  • RAMB36E1=24
  • RAMB36E1_RAMB36E1=24
  • REG_INIT=2705
  • SELMUX2_1=57
  • SLICEL=1792
  • SLICEM=2
 
Configuration Data
BSCAN_BSCAN
  • DISABLE_JTAG=[FALSE:1]
  • JTAG_CHAIN=[1:1]
DSP48E1
  • ALUMODE0=[ALUMODE0:3] [ALUMODE0_INV:0]
  • ALUMODE1=[ALUMODE1:3] [ALUMODE1_INV:0]
  • ALUMODE2=[ALUMODE2:3] [ALUMODE2_INV:0]
  • ALUMODE3=[ALUMODE3:3] [ALUMODE3_INV:0]
  • CARRYIN=[CARRYIN:3] [CARRYIN_INV:0]
  • CLK=[CLK:3] [CLK_INV:0]
  • INMODE0=[INMODE0:3] [INMODE0_INV:0]
  • INMODE1=[INMODE1_INV:0] [INMODE1:3]
  • INMODE2=[INMODE2_INV:0] [INMODE2:3]
  • INMODE3=[INMODE3:3] [INMODE3_INV:0]
  • INMODE4=[INMODE4:3] [INMODE4_INV:0]
  • OPMODE0=[OPMODE0:3] [OPMODE0_INV:0]
  • OPMODE1=[OPMODE1_INV:0] [OPMODE1:3]
  • OPMODE2=[OPMODE2:3] [OPMODE2_INV:0]
  • OPMODE3=[OPMODE3:3] [OPMODE3_INV:0]
  • OPMODE4=[OPMODE4:3] [OPMODE4_INV:0]
  • OPMODE5=[OPMODE5_INV:0] [OPMODE5:3]
  • OPMODE6=[OPMODE6_INV:0] [OPMODE6:3]
DSP48E1_DSP48E1
  • ACASCREG=[1:3]
  • ADREG=[0:3]
  • ALUMODE0=[ALUMODE0:3] [ALUMODE0_INV:0]
  • ALUMODE1=[ALUMODE1:3] [ALUMODE1_INV:0]
  • ALUMODE2=[ALUMODE2:3] [ALUMODE2_INV:0]
  • ALUMODE3=[ALUMODE3:3] [ALUMODE3_INV:0]
  • ALUMODEREG=[0:3]
  • AREG=[1:3]
  • AUTORESET_PATDET=[NO_RESET:3]
  • A_INPUT=[DIRECT:3]
  • BCASCREG=[1:3]
  • BREG=[1:3]
  • B_INPUT=[DIRECT:3]
  • CARRYIN=[CARRYIN:3] [CARRYIN_INV:0]
  • CARRYINREG=[0:3]
  • CARRYINSELREG=[0:3]
  • CLK=[CLK:3] [CLK_INV:0]
  • CREG=[0:3]
  • DREG=[0:3]
  • INMODE0=[INMODE0:3] [INMODE0_INV:0]
  • INMODE1=[INMODE1_INV:0] [INMODE1:3]
  • INMODE2=[INMODE2_INV:0] [INMODE2:3]
  • INMODE3=[INMODE3:3] [INMODE3_INV:0]
  • INMODE4=[INMODE4:3] [INMODE4_INV:0]
  • INMODEREG=[0:3]
  • MREG=[0:1] [1:2]
  • OPMODE0=[OPMODE0:3] [OPMODE0_INV:0]
  • OPMODE1=[OPMODE1_INV:0] [OPMODE1:3]
  • OPMODE2=[OPMODE2:3] [OPMODE2_INV:0]
  • OPMODE3=[OPMODE3:3] [OPMODE3_INV:0]
  • OPMODE4=[OPMODE4:3] [OPMODE4_INV:0]
  • OPMODE5=[OPMODE5_INV:0] [OPMODE5:3]
  • OPMODE6=[OPMODE6_INV:0] [OPMODE6:3]
  • OPMODEREG=[0:3]
  • PREG=[0:1] [1:2]
  • SEL_MASK=[MASK:3]
  • SEL_PATTERN=[PATTERN:3]
  • USE_DPORT=[FALSE:3]
  • USE_MULT=[MULTIPLY:3]
  • USE_PATTERN_DETECT=[NO_PATDET:3]
  • USE_SIMD=[ONE48:3]
FF_INIT
  • CK=[CK:307] [CK_INV:0]
  • FFINIT=[INIT0:291] [INIT1:16]
  • FFSR=[SRLOW:291] [SRHIGH:16]
  • SYNC_ATTR=[ASYNC:186] [SYNC:121]
IBUFDS_GTE2_IBUFDS_GTE2
  • CLKCM_CFG=[TRUE:1]
  • CLKRCV_TRST=[TRUE:1]
IOB33_INBUF_EN
  • DIFF_TERM=[TRUE:1]
  • IBUF_LOW_PWR=[TRUE:9]
IOB_INBUF_EN
  • IBUF_LOW_PWR=[TRUE:3]
ISERDESE2
  • CLK=[CLK:2] [CLK_INV:0]
  • CLKB=[CLKB_INV:2] [CLKB:0]
  • D=[D:2] [D_INV:0]
  • OCLK=[OCLK:2] [OCLK_INV:0]
  • OCLKB=[OCLKB:0] [OCLKB_INV:2]
ISERDESE2_ISERDESE2
  • CLK=[CLK:2] [CLK_INV:0]
  • CLKB=[CLKB_INV:2] [CLKB:0]
  • D=[D:2] [D_INV:0]
  • DATA_RATE=[SDR:2]
  • DATA_WIDTH=[4:2]
  • DDR3_V6=[FALSE:2]
  • DDR_CLK_EDGE=[OPPOSITE_EDGE:2]
  • DYN_CLKDIVP_INV_EN=[FALSE:2]
  • DYN_CLKDIV_INV_EN=[FALSE:2]
  • DYN_CLK_INV_EN=[FALSE:2]
  • D_EMU1=[FALSE:2]
  • D_EMU2=[FALSE:2]
  • INTERFACE_TYPE=[OVERSAMPLE:2]
  • IOBDELAY=[BOTH:2]
  • NUM_CE=[1:2]
  • OCLK=[OCLK:2] [OCLK_INV:0]
  • OCLKB=[OCLKB:0] [OCLKB_INV:2]
  • OFB_USED=[FALSE:2]
  • RANK12_DLY=[FALSE:2]
  • RANK23_DLY=[FALSE:2]
  • SERDES=[TRUE:2]
  • SERDES_MODE=[MASTER:2]
  • SRTYPE=[SYNC:2]
  • TFB_USED=[FALSE:2]
LUT_OR_MEM6
  • CLK=[CLK:2] [CLK_INV:0]
  • LUT_OR_MEM=[RAM:2]
  • RAMMODE=[SRL16:2]
MMCME2_ADV
  • CLKINSEL=[CLKINSEL:3] [CLKINSEL_INV:0]
  • PSEN=[PSEN_INV:0] [PSEN:3]
  • PSINCDEC=[PSINCDEC_INV:0] [PSINCDEC:3]
  • PWRDWN=[PWRDWN_INV:0] [PWRDWN:3]
  • RST=[RST:3] [RST_INV:0]
MMCME2_ADV_MMCME2_ADV
  • BANDWIDTH=[OPTIMIZED:3]
  • CLKBURST_ENABLE=[FALSE:3]
  • CLKBURST_REPEAT=[FALSE:3]
  • CLKFBIN_EDGE=[FALSE:3]
  • CLKFBIN_NOCOUNT=[TRUE:3]
  • CLKFBOUT_EDGE=[FALSE:3]
  • CLKFBOUT_EN=[TRUE:3]
  • CLKFBOUT_FRAC_EN=[FALSE:3]
  • CLKFBOUT_FRAC_WF_FALL=[FALSE:3]
  • CLKFBOUT_FRAC_WF_RISE=[FALSE:3]
  • CLKFBOUT_NOCOUNT=[TRUE:3]
  • CLKFBOUT_USE_FINE_PS=[FALSE:3]
  • CLKINSEL=[CLKINSEL:3] [CLKINSEL_INV:0]
  • CLKOUT0_EDGE=[FALSE:3]
  • CLKOUT0_EN=[FALSE:3]
  • CLKOUT0_FRAC_EN=[FALSE:3]
  • CLKOUT0_FRAC_WF_FALL=[FALSE:3]
  • CLKOUT0_FRAC_WF_RISE=[FALSE:3]
  • CLKOUT0_NOCOUNT=[TRUE:3]
  • CLKOUT0_USE_FINE_PS=[FALSE:3]
  • CLKOUT1_EDGE=[FALSE:3]
  • CLKOUT1_EN=[FALSE:3]
  • CLKOUT1_NOCOUNT=[TRUE:3]
  • CLKOUT1_USE_FINE_PS=[FALSE:3]
  • CLKOUT2_EDGE=[FALSE:3]
  • CLKOUT2_EN=[FALSE:3]
  • CLKOUT2_NOCOUNT=[TRUE:3]
  • CLKOUT2_USE_FINE_PS=[FALSE:3]
  • CLKOUT3_EDGE=[FALSE:3]
  • CLKOUT3_EN=[FALSE:3]
  • CLKOUT3_NOCOUNT=[TRUE:3]
  • CLKOUT3_USE_FINE_PS=[FALSE:3]
  • CLKOUT4_CASCADE=[FALSE:3]
  • CLKOUT4_EDGE=[FALSE:3]
  • CLKOUT4_EN=[FALSE:3]
  • CLKOUT4_NOCOUNT=[TRUE:3]
  • CLKOUT4_USE_FINE_PS=[FALSE:3]
  • CLKOUT5_EDGE=[FALSE:3]
  • CLKOUT5_EN=[FALSE:3]
  • CLKOUT5_NOCOUNT=[TRUE:3]
  • CLKOUT5_USE_FINE_PS=[FALSE:3]
  • CLKOUT6_EDGE=[FALSE:3]
  • CLKOUT6_EN=[FALSE:3]
  • CLKOUT6_NOCOUNT=[TRUE:3]
  • CLKOUT6_USE_FINE_PS=[FALSE:3]
  • COMPENSATION=[INTERNAL:1] [BUF_IN:2]
  • DIRECT_PATH_CNTRL=[FALSE:3]
  • DIVCLK_EDGE=[FALSE:3]
  • DIVCLK_NOCOUNT=[TRUE:3]
  • EN_VCO_DIV1=[FALSE:3]
  • EN_VCO_DIV6=[FALSE:3]
  • GTS_WAIT=[FALSE:3]
  • HVLF_CNT_TEST_EN=[FALSE:3]
  • INTERP_TEST=[FALSE:3]
  • IN_DLY_EN=[TRUE:3]
  • LF_LOW_SEL=[FALSE:3]
  • MMCM_EN=[TRUE:3]
  • PERF0_USE_CLK=[FALSE:3]
  • PERF1_USE_CLK=[FALSE:3]
  • PERF2_USE_CLK=[FALSE:3]
  • PERF3_USE_CLK=[FALSE:3]
  • PSEN=[PSEN_INV:0] [PSEN:3]
  • PSINCDEC=[PSINCDEC_INV:0] [PSINCDEC:3]
  • PWRDWN=[PWRDWN_INV:0] [PWRDWN:3]
  • RST=[RST:3] [RST_INV:0]
  • SEL_HV_NMOS=[FALSE:3]
  • SEL_LV_NMOS=[FALSE:3]
  • SEL_SLIPD=[FALSE:3]
  • SS_EN=[FALSE:3]
  • SS_MODE=[CENTER_HIGH:3]
  • STARTUP_WAIT=[FALSE:3]
  • SUP_SEL_AREG=[FALSE:3]
  • SUP_SEL_DREG=[FALSE:3]
  • TMUX_MUX_SEL=[00:3]
  • VLF_HIGH_DIS_B=[TRUE:3]
  • VLF_HIGH_PWDN_B=[TRUE:3]
RAMB18E1
  • CLKARDCLK=[CLKARDCLK_INV:0] [CLKARDCLK:5]
  • CLKBWRCLK=[CLKBWRCLK:5] [CLKBWRCLK_INV:0]
  • ENARDEN=[ENARDEN_INV:0] [ENARDEN:5]
  • ENBWREN=[ENBWREN_INV:0] [ENBWREN:5]
  • REGCLKARDRCLK=[REGCLKARDRCLK:3] [REGCLKARDRCLK_INV:2]
  • REGCLKB=[REGCLKB_INV:2] [REGCLKB:3]
  • RSTRAMARSTRAM=[RSTRAMARSTRAM_INV:0] [RSTRAMARSTRAM:5]
  • RSTRAMB=[RSTRAMB:5] [RSTRAMB_INV:0]
  • RSTREGARSTREG=[RSTREGARSTREG_INV:0] [RSTREGARSTREG:5]
  • RSTREGB=[RSTREGB_INV:0] [RSTREGB:5]
RAMB18E1_RAMB18E1
  • CLKARDCLK=[CLKARDCLK_INV:0] [CLKARDCLK:5]
  • CLKBWRCLK=[CLKBWRCLK:5] [CLKBWRCLK_INV:0]
  • DOA_REG=[0:5]
  • DOB_REG=[0:5]
  • ENARDEN=[ENARDEN_INV:0] [ENARDEN:5]
  • ENBWREN=[ENBWREN_INV:0] [ENBWREN:5]
  • EN_PWRGATE=[NONE:5]
  • RAM_MODE=[TDP:2] [SDP:3]
  • RDADDR_COLLISION_HWCONFIG=[DELAYED_WRITE:5]
  • READ_WIDTH_A=[18:2] [36:3]
  • READ_WIDTH_B=[0:3] [18:2]
  • REGCLKARDRCLK=[REGCLKARDRCLK:3] [REGCLKARDRCLK_INV:2]
  • REGCLKB=[REGCLKB_INV:2] [REGCLKB:3]
  • RSTRAMARSTRAM=[RSTRAMARSTRAM_INV:0] [RSTRAMARSTRAM:5]
  • RSTRAMB=[RSTRAMB:5] [RSTRAMB_INV:0]
  • RSTREGARSTREG=[RSTREGARSTREG_INV:0] [RSTREGARSTREG:5]
  • RSTREGB=[RSTREGB_INV:0] [RSTREGB:5]
  • RSTREG_PRIORITY_A=[REGCE:2] [RSTREG:3]
  • RSTREG_PRIORITY_B=[REGCE:2] [RSTREG:3]
  • SAVEDATA=[FALSE:5]
  • WRITE_MODE_A=[WRITE_FIRST:2] [READ_FIRST:3]
  • WRITE_MODE_B=[WRITE_FIRST:2] [READ_FIRST:3]
  • WRITE_WIDTH_A=[0:3] [18:2]
  • WRITE_WIDTH_B=[18:2] [36:3]
RAMB36E1
  • CLKARDCLKL=[CLKARDCLKL:24] [CLKARDCLKL_INV:0]
  • CLKARDCLKU=[CLKARDCLKU:24] [CLKARDCLKU_INV:0]
  • CLKBWRCLKL=[CLKBWRCLKL_INV:0] [CLKBWRCLKL:24]
  • CLKBWRCLKU=[CLKBWRCLKU_INV:0] [CLKBWRCLKU:24]
  • ENARDENL=[ENARDENL_INV:0] [ENARDENL:24]
  • ENARDENU=[ENARDENU_INV:0] [ENARDENU:24]
  • ENBWRENL=[ENBWRENL:24] [ENBWRENL_INV:0]
  • ENBWRENU=[ENBWRENU:24] [ENBWRENU_INV:0]
  • REGCLKARDRCLKL=[REGCLKARDRCLKL_INV:2] [REGCLKARDRCLKL:22]
  • REGCLKARDRCLKU=[REGCLKARDRCLKU_INV:2] [REGCLKARDRCLKU:22]
  • REGCLKBL=[REGCLKBL_INV:0] [REGCLKBL:24]
  • REGCLKBU=[REGCLKBU_INV:0] [REGCLKBU:24]
  • RSTRAMARSTRAML=[RSTRAMARSTRAML_INV:0] [RSTRAMARSTRAML:24]
  • RSTRAMARSTRAMU=[RSTRAMARSTRAMU_INV:0] [RSTRAMARSTRAMU:24]
  • RSTRAMBL=[RSTRAMBL:24] [RSTRAMBL_INV:0]
  • RSTRAMBU=[RSTRAMBU:24] [RSTRAMBU_INV:0]
  • RSTREGARSTREGL=[RSTREGARSTREGL:24] [RSTREGARSTREGL_INV:0]
  • RSTREGARSTREGU=[RSTREGARSTREGU:24] [RSTREGARSTREGU_INV:0]
  • RSTREGBL=[RSTREGBL_INV:0] [RSTREGBL:24]
  • RSTREGBU=[RSTREGBU:24] [RSTREGBU_INV:0]
RAMB36E1_RAMB36E1
  • CLKARDCLKL=[CLKARDCLKL:24] [CLKARDCLKL_INV:0]
  • CLKARDCLKU=[CLKARDCLKU:24] [CLKARDCLKU_INV:0]
  • CLKBWRCLKL=[CLKBWRCLKL_INV:0] [CLKBWRCLKL:24]
  • CLKBWRCLKU=[CLKBWRCLKU_INV:0] [CLKBWRCLKU:24]
  • DOA_REG=[0:24]
  • DOB_REG=[0:24]
  • ENARDENL=[ENARDENL_INV:0] [ENARDENL:24]
  • ENARDENU=[ENARDENU_INV:0] [ENARDENU:24]
  • ENBWRENL=[ENBWRENL:24] [ENBWRENL_INV:0]
  • ENBWRENU=[ENBWRENU:24] [ENBWRENU_INV:0]
  • EN_ECC_READ=[FALSE:24]
  • EN_ECC_WRITE=[FALSE:24]
  • EN_PWRGATE=[NONE:24]
  • RAM_EXTENSION_A=[NONE:24]
  • RAM_EXTENSION_B=[NONE:24]
  • RAM_MODE=[TDP:24]
  • RDADDR_COLLISION_HWCONFIG=[DELAYED_WRITE:24]
  • READ_WIDTH_A=[36:24]
  • READ_WIDTH_B=[0:1] [36:23]
  • REGCLKARDRCLKL=[REGCLKARDRCLKL_INV:2] [REGCLKARDRCLKL:22]
  • REGCLKARDRCLKU=[REGCLKARDRCLKU_INV:2] [REGCLKARDRCLKU:22]
  • REGCLKBL=[REGCLKBL_INV:0] [REGCLKBL:24]
  • REGCLKBU=[REGCLKBU_INV:0] [REGCLKBU:24]
  • RSTRAMARSTRAML=[RSTRAMARSTRAML_INV:0] [RSTRAMARSTRAML:24]
  • RSTRAMARSTRAMU=[RSTRAMARSTRAMU_INV:0] [RSTRAMARSTRAMU:24]
  • RSTRAMBL=[RSTRAMBL:24] [RSTRAMBL_INV:0]
  • RSTRAMBU=[RSTRAMBU:24] [RSTRAMBU_INV:0]
  • RSTREGARSTREGL=[RSTREGARSTREGL:24] [RSTREGARSTREGL_INV:0]
  • RSTREGARSTREGU=[RSTREGARSTREGU:24] [RSTREGARSTREGU_INV:0]
  • RSTREGBL=[RSTREGBL_INV:0] [RSTREGBL:24]
  • RSTREGBU=[RSTREGBU:24] [RSTREGBU_INV:0]
  • RSTREG_PRIORITY_A=[REGCE:2] [RSTREG:22]
  • RSTREG_PRIORITY_B=[REGCE:2] [RSTREG:22]
  • SAVEDATA=[FALSE:24]
  • WRITE_MODE_A=[WRITE_FIRST:3] [READ_FIRST:21]
  • WRITE_MODE_B=[WRITE_FIRST:4] [READ_FIRST:20]
  • WRITE_WIDTH_A=[36:24]
  • WRITE_WIDTH_B=[0:2] [36:22]
REG_INIT
  • CK=[CK:2704] [CK_INV:1]
  • FFINIT=[INIT0:2570] [INIT1:135]
  • FFSR=[SRLOW:2571] [SRHIGH:134]
  • LATCH_OR_FF=[FF:2704] [AND2L:1]
  • SYNC_ATTR=[ASYNC:1484] [SYNC:1221]
SELMUX2_1
  • 0=[0:57] [0_INV:0]
SLICEL
  • CLK=[CLK:1060] [CLK_INV:1]
SLICEM
  • CLK=[CLK:2] [CLK_INV:0]
 
Pin Data
BSCAN
  • CAPTURE=1
  • DRCK=1
  • RESET=1
  • SEL=1
  • SHIFT=1
  • TDI=1
  • TDO=1
  • UPDATE=1
BSCAN_BSCAN
  • CAPTURE=1
  • DRCK=1
  • RESET=1
  • SEL=1
  • SHIFT=1
  • TDI=1
  • TDO=1
  • UPDATE=1
BUFFER
  • I=2
  • O=2
BUFG
  • I0=7
  • O=7
BUFG_BUFG
  • I0=7
  • O=7
CARRY4
  • CIN=200
  • CO0=8
  • CO1=2
  • CO2=9
  • CO3=203
  • CYINIT=57
  • DI0=250
  • DI1=232
  • DI2=226
  • DI3=202
  • O0=212
  • O1=206
  • O2=197
  • O3=194
  • S0=257
  • S1=243
  • S2=232
  • S3=220
DSP48E1
  • A0=3
  • A1=3
  • A10=3
  • A11=3
  • A12=3
  • A13=3
  • A14=3
  • A15=3
  • A16=3
  • A17=3
  • A18=3
  • A19=3
  • A2=3
  • A20=3
  • A21=3
  • A22=3
  • A23=3
  • A24=3
  • A3=3
  • A4=3
  • A5=3
  • A6=3
  • A7=3
  • A8=3
  • A9=3
  • ALUMODE0=3
  • ALUMODE1=3
  • ALUMODE2=3
  • ALUMODE3=3
  • B0=3
  • B1=3
  • B10=3
  • B11=3
  • B12=3
  • B13=3
  • B14=3
  • B15=3
  • B16=3
  • B17=3
  • B2=3
  • B3=3
  • B4=3
  • B5=3
  • B6=3
  • B7=3
  • B8=3
  • B9=3
  • C0=1
  • C1=1
  • C10=1
  • C11=1
  • C12=1
  • C13=1
  • C14=1
  • C15=1
  • C16=1
  • C17=1
  • C18=1
  • C19=1
  • C2=1
  • C20=1
  • C21=1
  • C22=1
  • C23=1
  • C24=1
  • C25=1
  • C26=1
  • C27=1
  • C28=1
  • C29=1
  • C3=1
  • C30=1
  • C31=1
  • C32=1
  • C33=1
  • C34=1
  • C35=1
  • C36=1
  • C37=1
  • C38=1
  • C39=1
  • C4=1
  • C40=1
  • C41=1
  • C42=1
  • C43=1
  • C44=1
  • C45=1
  • C46=1
  • C47=1
  • C5=1
  • C6=1
  • C7=1
  • C8=1
  • C9=1
  • CARRYIN=3
  • CARRYINSEL0=3
  • CARRYINSEL1=3
  • CARRYINSEL2=3
  • CEA1=3
  • CEA2=3
  • CEAD=3
  • CEALUMODE=3
  • CEB1=3
  • CEB2=3
  • CEC=3
  • CECARRYIN=3
  • CECTRL=3
  • CED=3
  • CEINMODE=3
  • CEM=3
  • CEP=3
  • CLK=3
  • D0=3
  • D1=3
  • D10=3
  • D11=3
  • D12=3
  • D13=3
  • D14=3
  • D15=3
  • D16=3
  • D17=3
  • D18=3
  • D19=3
  • D2=3
  • D20=3
  • D21=3
  • D22=3
  • D23=3
  • D24=3
  • D3=3
  • D4=3
  • D5=3
  • D6=3
  • D7=3
  • D8=3
  • D9=3
  • INMODE0=3
  • INMODE1=3
  • INMODE2=3
  • INMODE3=3
  • INMODE4=3
  • OPMODE0=3
  • OPMODE1=3
  • OPMODE2=3
  • OPMODE3=3
  • OPMODE4=3
  • OPMODE5=3
  • OPMODE6=3
  • P0=2
  • P1=2
  • P10=2
  • P11=2
  • P12=2
  • P13=2
  • P14=2
  • P15=2
  • P16=1
  • P17=1
  • P18=1
  • P19=1
  • P2=2
  • P20=1
  • P21=1
  • P22=1
  • P23=1
  • P24=1
  • P25=1
  • P26=1
  • P27=1
  • P28=1
  • P29=1
  • P3=2
  • P30=1
  • P31=1
  • P4=2
  • P5=2
  • P6=2
  • P7=2
  • P8=2
  • P9=2
  • PCIN0=1
  • PCIN1=1
  • PCIN10=1
  • PCIN11=1
  • PCIN12=1
  • PCIN13=1
  • PCIN14=1
  • PCIN15=1
  • PCIN16=1
  • PCIN17=1
  • PCIN18=1
  • PCIN19=1
  • PCIN2=1
  • PCIN20=1
  • PCIN21=1
  • PCIN22=1
  • PCIN23=1
  • PCIN24=1
  • PCIN25=1
  • PCIN26=1
  • PCIN27=1
  • PCIN28=1
  • PCIN29=1
  • PCIN3=1
  • PCIN30=1
  • PCIN31=1
  • PCIN32=1
  • PCIN33=1
  • PCIN34=1
  • PCIN35=1
  • PCIN36=1
  • PCIN37=1
  • PCIN38=1
  • PCIN39=1
  • PCIN4=1
  • PCIN40=1
  • PCIN41=1
  • PCIN42=1
  • PCIN43=1
  • PCIN44=1
  • PCIN45=1
  • PCIN46=1
  • PCIN47=1
  • PCIN5=1
  • PCIN6=1
  • PCIN7=1
  • PCIN8=1
  • PCIN9=1
  • PCOUT0=1
  • PCOUT1=1
  • PCOUT10=1
  • PCOUT11=1
  • PCOUT12=1
  • PCOUT13=1
  • PCOUT14=1
  • PCOUT15=1
  • PCOUT16=1
  • PCOUT17=1
  • PCOUT18=1
  • PCOUT19=1
  • PCOUT2=1
  • PCOUT20=1
  • PCOUT21=1
  • PCOUT22=1
  • PCOUT23=1
  • PCOUT24=1
  • PCOUT25=1
  • PCOUT26=1
  • PCOUT27=1
  • PCOUT28=1
  • PCOUT29=1
  • PCOUT3=1
  • PCOUT30=1
  • PCOUT31=1
  • PCOUT32=1
  • PCOUT33=1
  • PCOUT34=1
  • PCOUT35=1
  • PCOUT36=1
  • PCOUT37=1
  • PCOUT38=1
  • PCOUT39=1
  • PCOUT4=1
  • PCOUT40=1
  • PCOUT41=1
  • PCOUT42=1
  • PCOUT43=1
  • PCOUT44=1
  • PCOUT45=1
  • PCOUT46=1
  • PCOUT47=1
  • PCOUT5=1
  • PCOUT6=1
  • PCOUT7=1
  • PCOUT8=1
  • PCOUT9=1
  • RSTA=3
  • RSTALLCARRYIN=3
  • RSTALUMODE=3
  • RSTB=3
  • RSTC=3
  • RSTCTRL=3
  • RSTD=3
  • RSTINMODE=3
  • RSTM=3
  • RSTP=3
DSP48E1_DSP48E1
  • A0=3
  • A1=3
  • A10=3
  • A11=3
  • A12=3
  • A13=3
  • A14=3
  • A15=3
  • A16=3
  • A17=3
  • A18=3
  • A19=3
  • A2=3
  • A20=3
  • A21=3
  • A22=3
  • A23=3
  • A24=3
  • A3=3
  • A4=3
  • A5=3
  • A6=3
  • A7=3
  • A8=3
  • A9=3
  • ALUMODE0=3
  • ALUMODE1=3
  • ALUMODE2=3
  • ALUMODE3=3
  • B0=3
  • B1=3
  • B10=3
  • B11=3
  • B12=3
  • B13=3
  • B14=3
  • B15=3
  • B16=3
  • B17=3
  • B2=3
  • B3=3
  • B4=3
  • B5=3
  • B6=3
  • B7=3
  • B8=3
  • B9=3
  • C0=1
  • C1=1
  • C10=1
  • C11=1
  • C12=1
  • C13=1
  • C14=1
  • C15=1
  • C16=1
  • C17=1
  • C18=1
  • C19=1
  • C2=1
  • C20=1
  • C21=1
  • C22=1
  • C23=1
  • C24=1
  • C25=1
  • C26=1
  • C27=1
  • C28=1
  • C29=1
  • C3=1
  • C30=1
  • C31=1
  • C32=1
  • C33=1
  • C34=1
  • C35=1
  • C36=1
  • C37=1
  • C38=1
  • C39=1
  • C4=1
  • C40=1
  • C41=1
  • C42=1
  • C43=1
  • C44=1
  • C45=1
  • C46=1
  • C47=1
  • C5=1
  • C6=1
  • C7=1
  • C8=1
  • C9=1
  • CARRYIN=3
  • CARRYINSEL0=3
  • CARRYINSEL1=3
  • CARRYINSEL2=3
  • CEA1=3
  • CEA2=3
  • CEAD=3
  • CEALUMODE=3
  • CEB1=3
  • CEB2=3
  • CEC=3
  • CECARRYIN=3
  • CECTRL=3
  • CED=3
  • CEINMODE=3
  • CEM=3
  • CEP=3
  • CLK=3
  • D0=3
  • D1=3
  • D10=3
  • D11=3
  • D12=3
  • D13=3
  • D14=3
  • D15=3
  • D16=3
  • D17=3
  • D18=3
  • D19=3
  • D2=3
  • D20=3
  • D21=3
  • D22=3
  • D23=3
  • D24=3
  • D3=3
  • D4=3
  • D5=3
  • D6=3
  • D7=3
  • D8=3
  • D9=3
  • INMODE0=3
  • INMODE1=3
  • INMODE2=3
  • INMODE3=3
  • INMODE4=3
  • OPMODE0=3
  • OPMODE1=3
  • OPMODE2=3
  • OPMODE3=3
  • OPMODE4=3
  • OPMODE5=3
  • OPMODE6=3
  • P0=2
  • P1=2
  • P10=2
  • P11=2
  • P12=2
  • P13=2
  • P14=2
  • P15=2
  • P16=1
  • P17=1
  • P18=1
  • P19=1
  • P2=2
  • P20=1
  • P21=1
  • P22=1
  • P23=1
  • P24=1
  • P25=1
  • P26=1
  • P27=1
  • P28=1
  • P29=1
  • P3=2
  • P30=1
  • P31=1
  • P4=2
  • P5=2
  • P6=2
  • P7=2
  • P8=2
  • P9=2
  • PCIN0=1
  • PCIN1=1
  • PCIN10=1
  • PCIN11=1
  • PCIN12=1
  • PCIN13=1
  • PCIN14=1
  • PCIN15=1
  • PCIN16=1
  • PCIN17=1
  • PCIN18=1
  • PCIN19=1
  • PCIN2=1
  • PCIN20=1
  • PCIN21=1
  • PCIN22=1
  • PCIN23=1
  • PCIN24=1
  • PCIN25=1
  • PCIN26=1
  • PCIN27=1
  • PCIN28=1
  • PCIN29=1
  • PCIN3=1
  • PCIN30=1
  • PCIN31=1
  • PCIN32=1
  • PCIN33=1
  • PCIN34=1
  • PCIN35=1
  • PCIN36=1
  • PCIN37=1
  • PCIN38=1
  • PCIN39=1
  • PCIN4=1
  • PCIN40=1
  • PCIN41=1
  • PCIN42=1
  • PCIN43=1
  • PCIN44=1
  • PCIN45=1
  • PCIN46=1
  • PCIN47=1
  • PCIN5=1
  • PCIN6=1
  • PCIN7=1
  • PCIN8=1
  • PCIN9=1
  • PCOUT0=1
  • PCOUT1=1
  • PCOUT10=1
  • PCOUT11=1
  • PCOUT12=1
  • PCOUT13=1
  • PCOUT14=1
  • PCOUT15=1
  • PCOUT16=1
  • PCOUT17=1
  • PCOUT18=1
  • PCOUT19=1
  • PCOUT2=1
  • PCOUT20=1
  • PCOUT21=1
  • PCOUT22=1
  • PCOUT23=1
  • PCOUT24=1
  • PCOUT25=1
  • PCOUT26=1
  • PCOUT27=1
  • PCOUT28=1
  • PCOUT29=1
  • PCOUT3=1
  • PCOUT30=1
  • PCOUT31=1
  • PCOUT32=1
  • PCOUT33=1
  • PCOUT34=1
  • PCOUT35=1
  • PCOUT36=1
  • PCOUT37=1
  • PCOUT38=1
  • PCOUT39=1
  • PCOUT4=1
  • PCOUT40=1
  • PCOUT41=1
  • PCOUT42=1
  • PCOUT43=1
  • PCOUT44=1
  • PCOUT45=1
  • PCOUT46=1
  • PCOUT47=1
  • PCOUT5=1
  • PCOUT6=1
  • PCOUT7=1
  • PCOUT8=1
  • PCOUT9=1
  • RSTA=3
  • RSTALLCARRYIN=3
  • RSTALUMODE=3
  • RSTB=3
  • RSTC=3
  • RSTCTRL=3
  • RSTD=3
  • RSTINMODE=3
  • RSTM=3
  • RSTP=3
FF_INIT
  • CE=207
  • CK=307
  • D=307
  • Q=307
  • SR=264
HARD0
  • 0=25
HARD1
  • 1=33
IBUFDS_GTE2
  • CEB=1
  • I=1
  • IB=1
  • O=1
IBUFDS_GTE2_IBUFDS_GTE2
  • CEB=1
  • I=1
  • IB=1
  • O=1
IOB
  • I=3
  • O=4
  • PAD=7
IOB33
  • DIFFI_IN=1
  • I=9
  • O=15
  • PAD=21
  • PADOUT=1
  • T=4
IOB33_INBUF_EN
  • DIFFI_IN=1
  • OUT=9
  • PAD=9
IOB33_OUTBUF
  • IN=15
  • OUT=15
  • TRI=4
IOB_INBUF_EN
  • INTERMDISABLE=3
  • OUT=3
  • PAD=3
IOB_OUTBUF
  • IN=4
  • OUT=4
IPAD
  • O=2
  • PAD=2
ISERDESE2
  • BITSLIP=2
  • CE1=2
  • CE2=2
  • CLK=2
  • CLKB=2
  • D=2
  • DYNCLKDIVSEL=2
  • DYNCLKSEL=2
  • OCLK=2
  • OCLKB=2
  • Q1=2
  • Q2=2
  • Q3=2
  • Q4=2
  • RST=2
ISERDESE2_ISERDESE2
  • BITSLIP=2
  • CE1=2
  • CE2=2
  • CLK=2
  • CLKB=2
  • D=2
  • DYNCLKDIVSEL=2
  • DYNCLKSEL=2
  • OCLK=2
  • OCLKB=2
  • Q1=2
  • Q2=2
  • Q3=2
  • Q4=2
  • RST=2
LUT5
  • A1=340
  • A2=374
  • A3=497
  • A4=382
  • A5=396
  • O5=1298
LUT6
  • A1=2093
  • A2=2920
  • A3=3522
  • A4=3924
  • A5=4280
  • A6=4444
  • O6=4460
LUT_OR_MEM6
  • A1=2
  • A2=2
  • A3=2
  • A4=2
  • A5=2
  • A6=2
  • CLK=2
  • DI2=2
  • O6=2
  • WE=2
MMCME2_ADV
  • CLKFBIN=3
  • CLKFBOUT=3
  • CLKIN1=3
  • CLKIN2=3
  • CLKINSEL=3
  • CLKOUT0=3
  • CLKOUT1=1
  • DADDR0=3
  • DADDR1=3
  • DADDR2=3
  • DADDR3=3
  • DADDR4=3
  • DADDR5=3
  • DADDR6=3
  • DCLK=3
  • DEN=3
  • DI0=3
  • DI1=3
  • DI10=3
  • DI11=3
  • DI12=3
  • DI13=3
  • DI14=3
  • DI15=3
  • DI2=3
  • DI3=3
  • DI4=3
  • DI5=3
  • DI6=3
  • DI7=3
  • DI8=3
  • DI9=3
  • DWE=3
  • PSCLK=3
  • PSEN=3
  • PSINCDEC=3
  • PWRDWN=3
  • RST=3
MMCME2_ADV_MMCME2_ADV
  • CLKFBIN=3
  • CLKFBOUT=3
  • CLKIN1=3
  • CLKIN2=3
  • CLKINSEL=3
  • CLKOUT0=3
  • CLKOUT1=1
  • DADDR0=3
  • DADDR1=3
  • DADDR2=3
  • DADDR3=3
  • DADDR4=3
  • DADDR5=3
  • DADDR6=3
  • DCLK=3
  • DEN=3
  • DI0=3
  • DI1=3
  • DI10=3
  • DI11=3
  • DI12=3
  • DI13=3
  • DI14=3
  • DI15=3
  • DI2=3
  • DI3=3
  • DI4=3
  • DI5=3
  • DI6=3
  • DI7=3
  • DI8=3
  • DI9=3
  • DWE=3
  • PSCLK=3
  • PSEN=3
  • PSINCDEC=3
  • PWRDWN=3
  • RST=3
NULLMUX
  • 0=1
  • OUT=1
PAD
  • PAD=30
RAMB18E1
  • ADDRARDADDR0=5
  • ADDRARDADDR1=5
  • ADDRARDADDR10=5
  • ADDRARDADDR11=5
  • ADDRARDADDR12=5
  • ADDRARDADDR13=5
  • ADDRARDADDR2=5
  • ADDRARDADDR3=5
  • ADDRARDADDR4=5
  • ADDRARDADDR5=5
  • ADDRARDADDR6=5
  • ADDRARDADDR7=5
  • ADDRARDADDR8=5
  • ADDRARDADDR9=5
  • ADDRATIEHIGH0=5
  • ADDRATIEHIGH1=5
  • ADDRBTIEHIGH0=5
  • ADDRBTIEHIGH1=5
  • ADDRBWRADDR0=5
  • ADDRBWRADDR1=5
  • ADDRBWRADDR10=5
  • ADDRBWRADDR11=5
  • ADDRBWRADDR12=5
  • ADDRBWRADDR13=5
  • ADDRBWRADDR2=5
  • ADDRBWRADDR3=5
  • ADDRBWRADDR4=5
  • ADDRBWRADDR5=5
  • ADDRBWRADDR6=5
  • ADDRBWRADDR7=5
  • ADDRBWRADDR8=5
  • ADDRBWRADDR9=5
  • CLKARDCLK=5
  • CLKBWRCLK=5
  • DIADI0=5
  • DIADI1=5
  • DIADI10=5
  • DIADI11=5
  • DIADI12=5
  • DIADI13=5
  • DIADI14=5
  • DIADI15=5
  • DIADI2=5
  • DIADI3=5
  • DIADI4=5
  • DIADI5=5
  • DIADI6=5
  • DIADI7=5
  • DIADI8=5
  • DIADI9=5
  • DIBDI0=5
  • DIBDI1=5
  • DIBDI10=4
  • DIBDI11=4
  • DIBDI12=4
  • DIBDI13=4
  • DIBDI14=4
  • DIBDI15=4
  • DIBDI2=5
  • DIBDI3=5
  • DIBDI4=4
  • DIBDI5=4
  • DIBDI6=4
  • DIBDI7=4
  • DIBDI8=4
  • DIBDI9=4
  • DIPADIP0=2
  • DIPADIP1=2
  • DIPBDIP0=2
  • DIPBDIP1=2
  • DOADO0=3
  • DOADO1=3
  • DOADO10=3
  • DOADO11=3
  • DOADO12=3
  • DOADO13=3
  • DOADO14=3
  • DOADO15=3
  • DOADO2=3
  • DOADO3=3
  • DOADO4=3
  • DOADO5=3
  • DOADO6=3
  • DOADO7=3
  • DOADO8=3
  • DOADO9=3
  • DOBDO0=5
  • DOBDO1=5
  • DOBDO10=4
  • DOBDO11=4
  • DOBDO12=4
  • DOBDO13=4
  • DOBDO14=4
  • DOBDO15=4
  • DOBDO2=5
  • DOBDO3=5
  • DOBDO4=4
  • DOBDO5=4
  • DOBDO6=4
  • DOBDO7=4
  • DOBDO8=4
  • DOBDO9=4
  • DOPBDOP0=2
  • DOPBDOP1=2
  • ENARDEN=5
  • ENBWREN=5
  • REGCEAREGCE=5
  • REGCEB=5
  • REGCLKARDRCLK=5
  • REGCLKB=5
  • RSTRAMARSTRAM=5
  • RSTRAMB=5
  • RSTREGARSTREG=5
  • RSTREGB=5
  • WEA0=5
  • WEA1=5
  • WEA2=5
  • WEA3=5
  • WEBWE0=5
  • WEBWE1=5
  • WEBWE2=5
  • WEBWE3=5
  • WEBWE4=5
  • WEBWE5=5
  • WEBWE6=5
  • WEBWE7=5
RAMB18E1_RAMB18E1
  • ADDRARDADDR0=5
  • ADDRARDADDR1=5
  • ADDRARDADDR10=5
  • ADDRARDADDR11=5
  • ADDRARDADDR12=5
  • ADDRARDADDR13=5
  • ADDRARDADDR2=5
  • ADDRARDADDR3=5
  • ADDRARDADDR4=5
  • ADDRARDADDR5=5
  • ADDRARDADDR6=5
  • ADDRARDADDR7=5
  • ADDRARDADDR8=5
  • ADDRARDADDR9=5
  • ADDRATIEHIGH0=5
  • ADDRATIEHIGH1=5
  • ADDRBTIEHIGH0=5
  • ADDRBTIEHIGH1=5
  • ADDRBWRADDR0=5
  • ADDRBWRADDR1=5
  • ADDRBWRADDR10=5
  • ADDRBWRADDR11=5
  • ADDRBWRADDR12=5
  • ADDRBWRADDR13=5
  • ADDRBWRADDR2=5
  • ADDRBWRADDR3=5
  • ADDRBWRADDR4=5
  • ADDRBWRADDR5=5
  • ADDRBWRADDR6=5
  • ADDRBWRADDR7=5
  • ADDRBWRADDR8=5
  • ADDRBWRADDR9=5
  • CLKARDCLK=5
  • CLKBWRCLK=5
  • DIADI0=5
  • DIADI1=5
  • DIADI10=5
  • DIADI11=5
  • DIADI12=5
  • DIADI13=5
  • DIADI14=5
  • DIADI15=5
  • DIADI2=5
  • DIADI3=5
  • DIADI4=5
  • DIADI5=5
  • DIADI6=5
  • DIADI7=5
  • DIADI8=5
  • DIADI9=5
  • DIBDI0=5
  • DIBDI1=5
  • DIBDI10=4
  • DIBDI11=4
  • DIBDI12=4
  • DIBDI13=4
  • DIBDI14=4
  • DIBDI15=4
  • DIBDI2=5
  • DIBDI3=5
  • DIBDI4=4
  • DIBDI5=4
  • DIBDI6=4
  • DIBDI7=4
  • DIBDI8=4
  • DIBDI9=4
  • DIPADIP0=2
  • DIPADIP1=2
  • DIPBDIP0=2
  • DIPBDIP1=2
  • DOADO0=3
  • DOADO1=3
  • DOADO10=3
  • DOADO11=3
  • DOADO12=3
  • DOADO13=3
  • DOADO14=3
  • DOADO15=3
  • DOADO2=3
  • DOADO3=3
  • DOADO4=3
  • DOADO5=3
  • DOADO6=3
  • DOADO7=3
  • DOADO8=3
  • DOADO9=3
  • DOBDO0=5
  • DOBDO1=5
  • DOBDO10=4
  • DOBDO11=4
  • DOBDO12=4
  • DOBDO13=4
  • DOBDO14=4
  • DOBDO15=4
  • DOBDO2=5
  • DOBDO3=5
  • DOBDO4=4
  • DOBDO5=4
  • DOBDO6=4
  • DOBDO7=4
  • DOBDO8=4
  • DOBDO9=4
  • DOPBDOP0=2
  • DOPBDOP1=2
  • ENARDEN=5
  • ENBWREN=5
  • REGCEAREGCE=5
  • REGCEB=5
  • REGCLKARDRCLK=5
  • REGCLKB=5
  • RSTRAMARSTRAM=5
  • RSTRAMB=5
  • RSTREGARSTREG=5
  • RSTREGB=5
  • WEA0=5
  • WEA1=5
  • WEA2=5
  • WEA3=5
  • WEBWE0=5
  • WEBWE1=5
  • WEBWE2=5
  • WEBWE3=5
  • WEBWE4=5
  • WEBWE5=5
  • WEBWE6=5
  • WEBWE7=5
RAMB36E1
  • ADDRARDADDRL0=24
  • ADDRARDADDRL1=24
  • ADDRARDADDRL10=24
  • ADDRARDADDRL11=24
  • ADDRARDADDRL12=24
  • ADDRARDADDRL13=24
  • ADDRARDADDRL14=24
  • ADDRARDADDRL15=24
  • ADDRARDADDRL2=24
  • ADDRARDADDRL3=24
  • ADDRARDADDRL4=24
  • ADDRARDADDRL5=24
  • ADDRARDADDRL6=24
  • ADDRARDADDRL7=24
  • ADDRARDADDRL8=24
  • ADDRARDADDRL9=24
  • ADDRARDADDRU0=24
  • ADDRARDADDRU1=24
  • ADDRARDADDRU10=24
  • ADDRARDADDRU11=24
  • ADDRARDADDRU12=24
  • ADDRARDADDRU13=24
  • ADDRARDADDRU14=24
  • ADDRARDADDRU2=24
  • ADDRARDADDRU3=24
  • ADDRARDADDRU4=24
  • ADDRARDADDRU5=24
  • ADDRARDADDRU6=24
  • ADDRARDADDRU7=24
  • ADDRARDADDRU8=24
  • ADDRARDADDRU9=24
  • ADDRBWRADDRL0=24
  • ADDRBWRADDRL1=24
  • ADDRBWRADDRL10=24
  • ADDRBWRADDRL11=24
  • ADDRBWRADDRL12=24
  • ADDRBWRADDRL13=24
  • ADDRBWRADDRL14=24
  • ADDRBWRADDRL15=24
  • ADDRBWRADDRL2=24
  • ADDRBWRADDRL3=24
  • ADDRBWRADDRL4=24
  • ADDRBWRADDRL5=24
  • ADDRBWRADDRL6=24
  • ADDRBWRADDRL7=24
  • ADDRBWRADDRL8=24
  • ADDRBWRADDRL9=24
  • ADDRBWRADDRU0=24
  • ADDRBWRADDRU1=24
  • ADDRBWRADDRU10=24
  • ADDRBWRADDRU11=24
  • ADDRBWRADDRU12=24
  • ADDRBWRADDRU13=24
  • ADDRBWRADDRU14=24
  • ADDRBWRADDRU2=24
  • ADDRBWRADDRU3=24
  • ADDRBWRADDRU4=24
  • ADDRBWRADDRU5=24
  • ADDRBWRADDRU6=24
  • ADDRBWRADDRU7=24
  • ADDRBWRADDRU8=24
  • ADDRBWRADDRU9=24
  • CLKARDCLKL=24
  • CLKARDCLKU=24
  • CLKBWRCLKL=24
  • CLKBWRCLKU=24
  • DIADI0=24
  • DIADI1=24
  • DIADI10=24
  • DIADI11=24
  • DIADI12=24
  • DIADI13=24
  • DIADI14=24
  • DIADI15=24
  • DIADI16=24
  • DIADI17=24
  • DIADI18=24
  • DIADI19=24
  • DIADI2=24
  • DIADI20=24
  • DIADI21=24
  • DIADI22=24
  • DIADI23=24
  • DIADI24=24
  • DIADI25=24
  • DIADI26=24
  • DIADI27=24
  • DIADI28=24
  • DIADI29=24
  • DIADI3=24
  • DIADI30=24
  • DIADI31=24
  • DIADI4=24
  • DIADI5=24
  • DIADI6=24
  • DIADI7=24
  • DIADI8=24
  • DIADI9=24
  • DIBDI0=22
  • DIBDI1=22
  • DIBDI10=22
  • DIBDI11=22
  • DIBDI12=22
  • DIBDI13=22
  • DIBDI14=22
  • DIBDI15=22
  • DIBDI16=22
  • DIBDI17=22
  • DIBDI18=22
  • DIBDI19=22
  • DIBDI2=22
  • DIBDI20=22
  • DIBDI21=22
  • DIBDI22=22
  • DIBDI23=22
  • DIBDI24=22
  • DIBDI25=22
  • DIBDI26=22
  • DIBDI27=22
  • DIBDI28=22
  • DIBDI29=22
  • DIBDI3=22
  • DIBDI30=22
  • DIBDI31=22
  • DIBDI4=22
  • DIBDI5=22
  • DIBDI6=22
  • DIBDI7=22
  • DIBDI8=22
  • DIBDI9=22
  • DIPADIP0=24
  • DIPADIP1=24
  • DIPADIP2=24
  • DIPADIP3=24
  • DIPBDIP0=22
  • DIPBDIP1=22
  • DIPBDIP2=22
  • DIPBDIP3=22
  • DOADO0=21
  • DOADO1=21
  • DOADO10=21
  • DOADO11=21
  • DOADO12=21
  • DOADO13=21
  • DOADO14=21
  • DOADO15=21
  • DOADO16=21
  • DOADO17=21
  • DOADO18=21
  • DOADO19=21
  • DOADO2=21
  • DOADO20=21
  • DOADO21=21
  • DOADO22=21
  • DOADO23=21
  • DOADO24=21
  • DOADO25=21
  • DOADO26=21
  • DOADO27=21
  • DOADO28=21
  • DOADO29=21
  • DOADO3=21
  • DOADO30=21
  • DOADO31=21
  • DOADO4=21
  • DOADO5=21
  • DOADO6=21
  • DOADO7=21
  • DOADO8=21
  • DOADO9=21
  • DOBDO0=3
  • DOBDO1=3
  • DOBDO10=3
  • DOBDO11=3
  • DOBDO12=3
  • DOBDO13=1
  • DOBDO14=1
  • DOBDO15=1
  • DOBDO16=3
  • DOBDO17=3
  • DOBDO18=3
  • DOBDO19=3
  • DOBDO2=3
  • DOBDO20=3
  • DOBDO21=3
  • DOBDO22=1
  • DOBDO23=1
  • DOBDO24=3
  • DOBDO25=3
  • DOBDO26=3
  • DOBDO27=3
  • DOBDO28=3
  • DOBDO29=1
  • DOBDO3=3
  • DOBDO30=1
  • DOBDO31=1
  • DOBDO4=3
  • DOBDO5=3
  • DOBDO6=1
  • DOBDO7=1
  • DOBDO8=3
  • DOBDO9=3
  • ENARDENL=24
  • ENARDENU=24
  • ENBWRENL=24
  • ENBWRENU=24
  • INJECTDBITERR=2
  • INJECTSBITERR=2
  • REGCEAREGCEL=24
  • REGCEAREGCEU=24
  • REGCEBL=24
  • REGCEBU=24
  • REGCLKARDRCLKL=24
  • REGCLKARDRCLKU=24
  • REGCLKBL=24
  • REGCLKBU=24
  • RSTRAMARSTRAML=24
  • RSTRAMARSTRAMU=24
  • RSTRAMBL=24
  • RSTRAMBU=24
  • RSTREGARSTREGL=24
  • RSTREGARSTREGU=24
  • RSTREGBL=24
  • RSTREGBU=24
  • WEAL0=24
  • WEAL1=24
  • WEAL2=24
  • WEAL3=24
  • WEAU0=24
  • WEAU1=24
  • WEAU2=24
  • WEAU3=24
  • WEBWEL0=24
  • WEBWEL1=24
  • WEBWEL2=24
  • WEBWEL3=24
  • WEBWEL4=24
  • WEBWEL5=24
  • WEBWEL6=24
  • WEBWEL7=24
  • WEBWEU0=24
  • WEBWEU1=24
  • WEBWEU2=24
  • WEBWEU3=24
  • WEBWEU4=24
  • WEBWEU5=24
  • WEBWEU6=24
  • WEBWEU7=24
RAMB36E1_RAMB36E1
  • ADDRARDADDRL0=24
  • ADDRARDADDRL1=24
  • ADDRARDADDRL10=24
  • ADDRARDADDRL11=24
  • ADDRARDADDRL12=24
  • ADDRARDADDRL13=24
  • ADDRARDADDRL14=24
  • ADDRARDADDRL15=24
  • ADDRARDADDRL2=24
  • ADDRARDADDRL3=24
  • ADDRARDADDRL4=24
  • ADDRARDADDRL5=24
  • ADDRARDADDRL6=24
  • ADDRARDADDRL7=24
  • ADDRARDADDRL8=24
  • ADDRARDADDRL9=24
  • ADDRARDADDRU0=24
  • ADDRARDADDRU1=24
  • ADDRARDADDRU10=24
  • ADDRARDADDRU11=24
  • ADDRARDADDRU12=24
  • ADDRARDADDRU13=24
  • ADDRARDADDRU14=24
  • ADDRARDADDRU2=24
  • ADDRARDADDRU3=24
  • ADDRARDADDRU4=24
  • ADDRARDADDRU5=24
  • ADDRARDADDRU6=24
  • ADDRARDADDRU7=24
  • ADDRARDADDRU8=24
  • ADDRARDADDRU9=24
  • ADDRBWRADDRL0=24
  • ADDRBWRADDRL1=24
  • ADDRBWRADDRL10=24
  • ADDRBWRADDRL11=24
  • ADDRBWRADDRL12=24
  • ADDRBWRADDRL13=24
  • ADDRBWRADDRL14=24
  • ADDRBWRADDRL15=24
  • ADDRBWRADDRL2=24
  • ADDRBWRADDRL3=24
  • ADDRBWRADDRL4=24
  • ADDRBWRADDRL5=24
  • ADDRBWRADDRL6=24
  • ADDRBWRADDRL7=24
  • ADDRBWRADDRL8=24
  • ADDRBWRADDRL9=24
  • ADDRBWRADDRU0=24
  • ADDRBWRADDRU1=24
  • ADDRBWRADDRU10=24
  • ADDRBWRADDRU11=24
  • ADDRBWRADDRU12=24
  • ADDRBWRADDRU13=24
  • ADDRBWRADDRU14=24
  • ADDRBWRADDRU2=24
  • ADDRBWRADDRU3=24
  • ADDRBWRADDRU4=24
  • ADDRBWRADDRU5=24
  • ADDRBWRADDRU6=24
  • ADDRBWRADDRU7=24
  • ADDRBWRADDRU8=24
  • ADDRBWRADDRU9=24
  • CLKARDCLKL=24
  • CLKARDCLKU=24
  • CLKBWRCLKL=24
  • CLKBWRCLKU=24
  • DIADI0=24
  • DIADI1=24
  • DIADI10=24
  • DIADI11=24
  • DIADI12=24
  • DIADI13=24
  • DIADI14=24
  • DIADI15=24
  • DIADI16=24
  • DIADI17=24
  • DIADI18=24
  • DIADI19=24
  • DIADI2=24
  • DIADI20=24
  • DIADI21=24
  • DIADI22=24
  • DIADI23=24
  • DIADI24=24
  • DIADI25=24
  • DIADI26=24
  • DIADI27=24
  • DIADI28=24
  • DIADI29=24
  • DIADI3=24
  • DIADI30=24
  • DIADI31=24
  • DIADI4=24
  • DIADI5=24
  • DIADI6=24
  • DIADI7=24
  • DIADI8=24
  • DIADI9=24
  • DIBDI0=22
  • DIBDI1=22
  • DIBDI10=22
  • DIBDI11=22
  • DIBDI12=22
  • DIBDI13=22
  • DIBDI14=22
  • DIBDI15=22
  • DIBDI16=22
  • DIBDI17=22
  • DIBDI18=22
  • DIBDI19=22
  • DIBDI2=22
  • DIBDI20=22
  • DIBDI21=22
  • DIBDI22=22
  • DIBDI23=22
  • DIBDI24=22
  • DIBDI25=22
  • DIBDI26=22
  • DIBDI27=22
  • DIBDI28=22
  • DIBDI29=22
  • DIBDI3=22
  • DIBDI30=22
  • DIBDI31=22
  • DIBDI4=22
  • DIBDI5=22
  • DIBDI6=22
  • DIBDI7=22
  • DIBDI8=22
  • DIBDI9=22
  • DIPADIP0=24
  • DIPADIP1=24
  • DIPADIP2=24
  • DIPADIP3=24
  • DIPBDIP0=22
  • DIPBDIP1=22
  • DIPBDIP2=22
  • DIPBDIP3=22
  • DOADO0=21
  • DOADO1=21
  • DOADO10=21
  • DOADO11=21
  • DOADO12=21
  • DOADO13=21
  • DOADO14=21
  • DOADO15=21
  • DOADO16=21
  • DOADO17=21
  • DOADO18=21
  • DOADO19=21
  • DOADO2=21
  • DOADO20=21
  • DOADO21=21
  • DOADO22=21
  • DOADO23=21
  • DOADO24=21
  • DOADO25=21
  • DOADO26=21
  • DOADO27=21
  • DOADO28=21
  • DOADO29=21
  • DOADO3=21
  • DOADO30=21
  • DOADO31=21
  • DOADO4=21
  • DOADO5=21
  • DOADO6=21
  • DOADO7=21
  • DOADO8=21
  • DOADO9=21
  • DOBDO0=3
  • DOBDO1=3
  • DOBDO10=3
  • DOBDO11=3
  • DOBDO12=3
  • DOBDO13=1
  • DOBDO14=1
  • DOBDO15=1
  • DOBDO16=3
  • DOBDO17=3
  • DOBDO18=3
  • DOBDO19=3
  • DOBDO2=3
  • DOBDO20=3
  • DOBDO21=3
  • DOBDO22=1
  • DOBDO23=1
  • DOBDO24=3
  • DOBDO25=3
  • DOBDO26=3
  • DOBDO27=3
  • DOBDO28=3
  • DOBDO29=1
  • DOBDO3=3
  • DOBDO30=1
  • DOBDO31=1
  • DOBDO4=3
  • DOBDO5=3
  • DOBDO6=1
  • DOBDO7=1
  • DOBDO8=3
  • DOBDO9=3
  • ENARDENL=24
  • ENARDENU=24
  • ENBWRENL=24
  • ENBWRENU=24
  • INJECTDBITERR=2
  • INJECTSBITERR=2
  • REGCEAREGCEL=24
  • REGCEAREGCEU=24
  • REGCEBL=24
  • REGCEBU=24
  • REGCLKARDRCLKL=24
  • REGCLKARDRCLKU=24
  • REGCLKBL=24
  • REGCLKBU=24
  • RSTRAMARSTRAML=24
  • RSTRAMARSTRAMU=24
  • RSTRAMBL=24
  • RSTRAMBU=24
  • RSTREGARSTREGL=24
  • RSTREGARSTREGU=24
  • RSTREGBL=24
  • RSTREGBU=24
  • WEAL0=24
  • WEAL1=24
  • WEAL2=24
  • WEAL3=24
  • WEAU0=24
  • WEAU1=24
  • WEAU2=24
  • WEAU3=24
  • WEBWEL0=24
  • WEBWEL1=24
  • WEBWEL2=24
  • WEBWEL3=24
  • WEBWEL4=24
  • WEBWEL5=24
  • WEBWEL6=24
  • WEBWEL7=24
  • WEBWEU0=24
  • WEBWEU1=24
  • WEBWEU2=24
  • WEBWEU3=24
  • WEBWEU4=24
  • WEBWEU5=24
  • WEBWEU6=24
  • WEBWEU7=24
REG_INIT
  • CE=1784
  • CK=2705
  • D=2705
  • Q=2705
  • SR=2346
SELMUX2_1
  • 0=57
  • 1=57
  • OUT=57
  • S0=57
SLICEL
  • A=674
  • A1=780
  • A2=997
  • A3=1153
  • A4=1230
  • A5=1329
  • A6=1359
  • AMUX=313
  • AQ=828
  • AX=322
  • B=581
  • B1=595
  • B2=814
  • B3=924
  • B4=996
  • B5=1095
  • B6=1128
  • BMUX=306
  • BQ=630
  • BX=253
  • C=322
  • C1=497
  • C2=674
  • C3=779
  • C4=843
  • C5=937
  • C6=968
  • CE=624
  • CIN=200
  • CLK=1061
  • CMUX=359
  • COUT=200
  • CQ=670
  • CX=303
  • D=429
  • D1=498
  • D2=677
  • D3=800
  • D4=866
  • D5=957
  • D6=989
  • DMUX=319
  • DQ=575
  • DX=228
  • SR=872
SLICEM
  • B1=1
  • B2=1
  • B3=1
  • B4=1
  • B5=1
  • B6=1
  • BQ=1
  • BX=1
  • CE=2
  • CLK=2
  • D1=1
  • D2=1
  • D3=1
  • D4=1
  • D5=1
  • D6=1
  • DQ=1
  • DX=1
 
Tool Usage
Command Line History
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k325t-ffg900-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k325t-ffg900-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k325t-ffg900-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k325t-ffg900-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k325t-ffg900-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k325t-ffg900-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k325t-ffg900-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k325t-ffg900-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k325t-ffg900-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k325t-ffg900-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k325t-ffg900-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k325t-ffg900-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
 
Software Quality
Run Statistics
Program NameRuns StartedRuns FinishedErrorsFatal ErrorsInternal ErrorsExceptionsCore Dumps
_impact 405 319 0 0 0 0 0
arwz 2 2 0 0 0 0 0
bitgen 1427 1421 0 0 0 0 0
bitinit 1 1 0 0 0 0 0
compxlib 14 14 0 0 0 0 0
cse_server 36 30 0 0 0 0 0
cxlgui 6 5 0 0 0 0 0
edif2ngd 12 12 0 0 0 0 0
elfcheck 1 1 0 0 0 0 0
map 1840 1525 0 0 0 0 0
netgen 53 52 0 0 0 0 0
ngc2edif 20 20 0 0 0 0 0
ngcbuild 63 63 0 0 0 0 0
ngdbuild 1963 1931 0 0 0 0 0
par 1661 1385 262 0 0 0 0
platgen 2 2 0 0 0 0 0
psf2Edward 1 1 0 0 0 0 0
trce 1504 1504 0 0 0 0 0
xdsgen 1 1 0 0 0 0 0
xps 8 7 0 0 0 0 0
xst 2445 2375 0 0 0 0 0
 
Help Statistics
Search words with results
bitgen ( 1 ) tcl ( 1 )
tcl command ( 1 ) unconstrained ( 1 )
unconstrained pin ( 1 )
Help files
/doc/usenglish/isehelp/ise_c_overview.htm ( 1 ) /doc/usenglish/isehelp/ise_c_project_browser.htm ( 1 )
/doc/usenglish/isehelp/ite_c_overview.htm ( 1 ) /doc/usenglish/isehelp/pim_c_overview.htm ( 1 )
/doc/usenglish/isehelp/pim_db_configuration_preferences.htm ( 1 ) /doc/usenglish/isehelp/pn_db_find_in_files.htm ( 1 )
/doc/usenglish/isehelp/pn_r_using_tcl_tab.htm ( 1 ) /doc/usenglish/isehelp/pp_db_synthesis_options_pre.htm ( 1 )
http://www.xilinx.com/cgi-bin/docs/rdoc?l=en;v=14.2;d=devref.pdf ( 1 )
 
Project Statistics
PROP_Board=Kintex-7 KC705 Evaluation Platform PROP_Enable_Message_Filtering=false
PROP_FitterReportFormat=HTML PROP_LastAppliedGoal=Balanced
PROP_LastAppliedStrategy=Xilinx Default (unlocked) PROP_ManualCompileOrderImp=false
PROP_PropSpecInProjFile=Store non-default values only PROP_Simulator=ISim (VHDL/Verilog)
PROP_SynthTopFile=changed PROP_Top_Level_Module_Type=HDL
PROP_UseSmartGuide=false PROP_UserConstraintEditorPreference=Text Editor
PROP_intProjectCreationTimestamp=2013-08-01T19:51:23 PROP_intWbtProjectID=51C8808720364A03A2E27EC80424981A
PROP_intWbtProjectIteration=6 PROP_intWorkingDirLocWRTProjDir=Same
PROP_intWorkingDirUsed=No PROP_AutoTop=true
PROP_DevFamily=Kintex7 PROP_DevDevice=xc7k325t
PROP_DevFamilyPMName=kintex7 PROP_DevPackage=ffg900
PROP_Synthesis_Tool=XST (VHDL/Verilog) PROP_DevSpeed=-2
PROP_PreferredLanguage=VHDL FILE_COREGEN=1
FILE_UCF=1 FILE_USERDOC=1
FILE_VERILOG=14 FILE_VHDL=55
 
Core Statistics
Core Type=clk_wiz_v3_6
clkin1_period=8.000 clkin2_period=10.000 clock_mgr_type=MANUAL feedback_source=FDBK_ONCHIP
feedback_type=SINGLE manual_override=false num_out_clk=2 primtype_sel=MMCM_ADV
use_clk_valid=false use_dyn_phase_shift=false use_dyn_reconfig=false use_freeze=false
use_inclk_stopped=false use_inclk_switchover=false use_locked=true use_max_i_jitter=false
use_min_o_jitter=false use_phase_alignment=true use_power_down=false use_reset=true
use_status=false
Core Type=clk_wiz_v3_6
clkin1_period=16.000 clkin2_period=10.0 clock_mgr_type=MANUAL feedback_source=FDBK_AUTO
feedback_type=SINGLE manual_override=false num_out_clk=1 primtype_sel=MMCM_ADV
use_clk_valid=false use_dyn_phase_shift=false use_dyn_reconfig=false use_freeze=false
use_inclk_stopped=false use_inclk_switchover=false use_locked=true use_max_i_jitter=false
use_min_o_jitter=false use_phase_alignment=true use_power_down=false use_reset=true
use_status=false
Core Type=fifo_generator_v9_2
c_add_ngc_constraint=0 c_application_type_axis=0 c_application_type_rach=0 c_application_type_rdch=0
c_application_type_wach=0 c_application_type_wdch=0 c_application_type_wrch=0 c_axi_addr_width=32
c_axi_aruser_width=1 c_axi_awuser_width=1 c_axi_buser_width=1 c_axi_data_width=64
c_axi_id_width=4 c_axi_ruser_width=1 c_axi_type=0 c_axi_wuser_width=1
c_axis_tdata_width=64 c_axis_tdest_width=4 c_axis_tid_width=8 c_axis_tkeep_width=4
c_axis_tstrb_width=4 c_axis_tuser_width=4 c_axis_type=0 c_common_clock=0
c_count_type=0 c_data_count_width=10 c_default_value=BlankString c_din_width=40
c_din_width_axis=1 c_din_width_rach=32 c_din_width_rdch=64 c_din_width_wach=32
c_din_width_wdch=64 c_din_width_wrch=2 c_dout_rst_val=0 c_dout_width=40
c_enable_rlocs=0 c_enable_rst_sync=1 c_error_injection_type=0 c_error_injection_type_axis=0
c_error_injection_type_rach=0 c_error_injection_type_rdch=0 c_error_injection_type_wach=0 c_error_injection_type_wdch=0
c_error_injection_type_wrch=0 c_family=kintex7 c_full_flags_rst_val=1 c_has_almost_empty=0
c_has_almost_full=0 c_has_axi_aruser=0 c_has_axi_awuser=0 c_has_axi_buser=0
c_has_axi_rd_channel=0 c_has_axi_ruser=0 c_has_axi_wr_channel=0 c_has_axi_wuser=0
c_has_axis_tdata=0 c_has_axis_tdest=0 c_has_axis_tid=0 c_has_axis_tkeep=0
c_has_axis_tlast=0 c_has_axis_tready=1 c_has_axis_tstrb=0 c_has_axis_tuser=0
c_has_backup=0 c_has_data_count=0 c_has_data_counts_axis=0 c_has_data_counts_rach=0
c_has_data_counts_rdch=0 c_has_data_counts_wach=0 c_has_data_counts_wdch=0 c_has_data_counts_wrch=0
c_has_int_clk=0 c_has_master_ce=0 c_has_meminit_file=0 c_has_overflow=0
c_has_prog_flags_axis=0 c_has_prog_flags_rach=0 c_has_prog_flags_rdch=0 c_has_prog_flags_wach=0
c_has_prog_flags_wdch=0 c_has_prog_flags_wrch=0 c_has_rd_data_count=1 c_has_rd_rst=0
c_has_rst=1 c_has_slave_ce=0 c_has_srst=0 c_has_underflow=0
c_has_valid=0 c_has_wr_ack=0 c_has_wr_data_count=1 c_has_wr_rst=0
c_implementation_type=2 c_implementation_type_axis=1 c_implementation_type_rach=2 c_implementation_type_rdch=1
c_implementation_type_wach=2 c_implementation_type_wdch=1 c_implementation_type_wrch=2 c_init_wr_pntr_val=0
c_interface_type=0 c_memory_type=1 c_mif_file_name=BlankString c_msgon_val=0
c_optimization_mode=0 c_overflow_low=0 c_preload_latency=1 c_preload_regs=0
c_prim_fifo_type=1kx36 c_prog_empty_thresh_assert_val=2 c_prog_empty_thresh_assert_val_axis=1022 c_prog_empty_thresh_assert_val_rach=1022
c_prog_empty_thresh_assert_val_rdch=1022 c_prog_empty_thresh_assert_val_wach=1022 c_prog_empty_thresh_assert_val_wdch=1022 c_prog_empty_thresh_assert_val_wrch=1022
c_prog_empty_thresh_negate_val=3 c_prog_empty_type=0 c_prog_empty_type_axis=0 c_prog_empty_type_rach=0
c_prog_empty_type_rdch=0 c_prog_empty_type_wach=0 c_prog_empty_type_wdch=0 c_prog_empty_type_wrch=0
c_prog_full_thresh_assert_val=1021 c_prog_full_thresh_assert_val_axis=1023 c_prog_full_thresh_assert_val_rach=1023 c_prog_full_thresh_assert_val_rdch=1023
c_prog_full_thresh_assert_val_wach=1023 c_prog_full_thresh_assert_val_wdch=1023 c_prog_full_thresh_assert_val_wrch=1023 c_prog_full_thresh_negate_val=1020
c_prog_full_type=0 c_prog_full_type_axis=0 c_prog_full_type_rach=0 c_prog_full_type_rdch=0
c_prog_full_type_wach=0 c_prog_full_type_wdch=0 c_prog_full_type_wrch=0 c_rach_type=0
c_rd_data_count_width=10 c_rd_depth=1024 c_rd_freq=1 c_rd_pntr_width=10
c_rdch_type=0 c_reg_slice_mode_axis=0 c_reg_slice_mode_rach=0 c_reg_slice_mode_rdch=0
c_reg_slice_mode_wach=0 c_reg_slice_mode_wdch=0 c_reg_slice_mode_wrch=0 c_synchronizer_stage=2
c_underflow_low=0 c_use_common_overflow=0 c_use_common_underflow=0 c_use_default_settings=0
c_use_dout_rst=1 c_use_ecc=0 c_use_ecc_axis=0 c_use_ecc_rach=0
c_use_ecc_rdch=0 c_use_ecc_wach=0 c_use_ecc_wdch=0 c_use_ecc_wrch=0
c_use_embedded_reg=0 c_use_fifo16_flags=0 c_use_fwft_data_count=0 c_valid_low=0
c_wach_type=0 c_wdch_type=0 c_wr_ack_low=0 c_wr_data_count_width=10
c_wr_depth=1024 c_wr_depth_axis=1024 c_wr_depth_rach=16 c_wr_depth_rdch=1024
c_wr_depth_wach=16 c_wr_depth_wdch=1024 c_wr_depth_wrch=16 c_wr_freq=1
c_wr_pntr_width=10 c_wr_pntr_width_axis=10 c_wr_pntr_width_rach=4 c_wr_pntr_width_rdch=10
c_wr_pntr_width_wach=4 c_wr_pntr_width_wdch=10 c_wr_pntr_width_wrch=4 c_wr_response_latency=1
c_wrch_type=0
Core Type=clk_wiz_v3_6
clkin1_period=4.000 clkin2_period=10.0 clock_mgr_type=MANUAL feedback_source=FDBK_AUTO
feedback_type=SINGLE manual_override=true num_out_clk=4 primtype_sel=MMCM_ADV
use_clk_valid=false use_dyn_phase_shift=false use_dyn_reconfig=false use_freeze=false
use_inclk_stopped=false use_inclk_switchover=false use_locked=true use_max_i_jitter=false
use_min_o_jitter=false use_phase_alignment=true use_power_down=false use_reset=true
use_status=false
 
Unisim Statistics
XST_UNISIM_SUMMARY
XST_NUM_BSCANE2=1 XST_NUM_BUFG=1 XST_NUM_BUFIO=1 XST_NUM_IBUFDS=2
XST_NUM_ISERDESE2=1
NGDBUILD_PRE_UNISIM_SUMMARY
NGDBUILD_NUM_BSCANE2=1 NGDBUILD_NUM_BUFG=14 NGDBUILD_NUM_BUFIO=4 NGDBUILD_NUM_DSP48E1=3
NGDBUILD_NUM_FD=95 NGDBUILD_NUM_FDC=543 NGDBUILD_NUM_FDCE=642 NGDBUILD_NUM_FDE=307
NGDBUILD_NUM_FDP=58 NGDBUILD_NUM_FDPE=50 NGDBUILD_NUM_FDR=347 NGDBUILD_NUM_FDRE=951
NGDBUILD_NUM_FDS=2 NGDBUILD_NUM_FDSE=42 NGDBUILD_NUM_GND=5 NGDBUILD_NUM_IBUF=9
NGDBUILD_NUM_IBUFDS=2 NGDBUILD_NUM_IBUFDS_GTE2=1 NGDBUILD_NUM_INV=170 NGDBUILD_NUM_IOBUF=4
NGDBUILD_NUM_ISERDESE2=2 NGDBUILD_NUM_LUT1=372 NGDBUILD_NUM_LUT2=422 NGDBUILD_NUM_LUT3=687
NGDBUILD_NUM_LUT4=620 NGDBUILD_NUM_LUT5=806 NGDBUILD_NUM_LUT6=2016 NGDBUILD_NUM_MMCME2_ADV=3
NGDBUILD_NUM_MUXCY=933 NGDBUILD_NUM_MUXF7=57 NGDBUILD_NUM_OBUF=15 NGDBUILD_NUM_RAMB18E1=5
NGDBUILD_NUM_RAMB36E1=24 NGDBUILD_NUM_SRLC16E=2 NGDBUILD_NUM_VCC=5 NGDBUILD_NUM_XORCY=833
NGDBUILD_POST_UNISIM_SUMMARY
NGDBUILD_NUM_BSCANE2=1 NGDBUILD_NUM_BUFG=14 NGDBUILD_NUM_BUFIO=4 NGDBUILD_NUM_DSP48E1=3
NGDBUILD_NUM_FD=95 NGDBUILD_NUM_FDC=543 NGDBUILD_NUM_FDCE=642 NGDBUILD_NUM_FDE=307
NGDBUILD_NUM_FDP=58 NGDBUILD_NUM_FDPE=50 NGDBUILD_NUM_FDR=347 NGDBUILD_NUM_FDRE=951
NGDBUILD_NUM_FDS=2 NGDBUILD_NUM_FDSE=42 NGDBUILD_NUM_GND=5 NGDBUILD_NUM_IBUF=13
NGDBUILD_NUM_IBUFDS=2 NGDBUILD_NUM_IBUFDS_GTE2=1 NGDBUILD_NUM_INV=170 NGDBUILD_NUM_ISERDESE2=2
NGDBUILD_NUM_LUT1=372 NGDBUILD_NUM_LUT2=422 NGDBUILD_NUM_LUT3=687 NGDBUILD_NUM_LUT4=620
NGDBUILD_NUM_LUT5=806 NGDBUILD_NUM_LUT6=2016 NGDBUILD_NUM_MMCME2_ADV=3 NGDBUILD_NUM_MUXCY=933
NGDBUILD_NUM_MUXF7=57 NGDBUILD_NUM_OBUF=15 NGDBUILD_NUM_OBUFT=4 NGDBUILD_NUM_RAMB18E1=5
NGDBUILD_NUM_RAMB36E1=24 NGDBUILD_NUM_SRLC16E=2 NGDBUILD_NUM_TS_TIMESPEC=1 NGDBUILD_NUM_VCC=5
NGDBUILD_NUM_XORCY=833
 
XST Command Line Options
XST_OPTION_SUMMARY
-ifn=<fname>.prj -ofn=<design_top> -ofmt=NGC -p=xc7k325t-2-ffg900
-top=<design_top> -opt_mode=Speed -opt_level=1 -power=NO
-iuc=NO -keep_hierarchy=No -netlist_hierarchy=As_Optimized -rtlview=Yes
-glob_opt=AllClockNets -read_cores=YES -sd=<No customer specific name> -write_timing_constraints=NO
-cross_clock_analysis=NO -bus_delimiter=<> -slice_utilization_ratio=100 -bram_utilization_ratio=100
-dsp_utilization_ratio=100 -reduce_control_sets=Auto -fsm_extract=YES -fsm_encoding=Auto
-safe_implementation=No -fsm_style=LUT -ram_extract=Yes -ram_style=Auto
-rom_extract=Yes -shreg_extract=YES -rom_style=Auto -auto_bram_packing=NO
-resource_sharing=YES -async_to_sync=NO -use_dsp48=Auto -iobuf=YES
-max_fanout=100000 -bufg=32 -register_duplication=YES -register_balancing=No
-optimize_primitives=NO -use_clock_enable=Auto -use_sync_set=Auto -use_sync_reset=Auto
-iob=Auto -equivalent_register_removal=YES -slice_utilization_ratio_maxmargin=5